Tag Archives: lrcx

Federal Dig Snags Lam’s KLA Buy; Will China Cry Antitrust?

Chinese, Japanese and South Korean regulators could gum up Lam Research ‘s ( LRCX ) attempt to buy KLA-Tencor ( KLAC ) by following in the footsteps of the U.S. Department of Justice, which is asking for more information on the proposed merger, an analyst said Monday. Late Friday, the companies said the DOJ had sent a “second request,” digging deeper into Lam Research’s $10.6 billion plan to acquire KLA-Tencor. Only 2%-4% of merger proposals get a second request, Semiconductor Advisors president Robert Maire says. Of those, he says about a third go through as planned but the other two-thirds either get challenged by the DOJ or get a consent decree, which is approval with conditions. In the Lam-KLA deal, the likely concerns revolve around antitrust. Together, the companies would be close to matching the market cap of  Applied Materials ( AMAT ), which is No. 2 among makers of chip manufacturing equipment, behind ASML ( ASML ). Also, together they could pressure etch pricing for major players like Intel ( INTC ) and Taiwan Semiconductor Manufacturing ( TSM ), the latter an Apple ( AAPL ) supplier. Tokyo Electron and Hitachi ( HTHIY ), which compete against Lam in etch, might oppose having KLA continuing to inspect their tools and processes. “While not good news, a second request is certainly not a death sentence for the merger, but at the very least (it) means more time and money and scrutiny to get approval,” Maire wrote in a research note. The 30-day clock for DOJ approval has now been reset. ‘Kitchen Sink Of Information’ In midday trading on the stock market today , Lam Research and KLA-Tencor stocks were down nearly 2% and 1.4%, respectively, both near three-month lows. IBD’s 34-company Electronic Semiconductor-Equipment industry group was down a small fraction midday Monday. Maire says the DOJ could “ask for the kitchen sink of information.” “The (second request) is not just a simple test of overlapping product lines,” he wrote. “It looks at things like market concentration and anti-competitive issues. (Lam-KLA) would certainly have a large concentration of the overall market.” The chip industry is most concerned about KLA’s standing as an “impartial arbiter” of others’ tools, he says. “Will Lam dep and etch tools get an unfair advantage? An early look at results? A more complete look?” Maire asked. “(It’s) kind of a lot like insider trading.” Lam and KLA already have the go-ahead from Germany, Ireland, Israel and Taiwan, but they still needs a slew of other foreign approvals. This DOJ action can “snowball into a problem” if it becomes too costly, Maire says. Applied Materials and Tokyo Electron called off their merger in the face of strong regulator scrutiny. DRAM Slowdown Hits Lam The request further delays the merger’s close, Needham analyst Y. Edwin Mok noted in a research report, saying he expects the deal to close in Q4, as opposed to the companies’ target for Q3. Western Digital ( WDC ) closed its $19 billion SanDisk acquisition last week, a merger that was announced within hours of the Lam-KLA match-up. Neither Mok nor Cowen analyst Timothy Arcuri worry about the Lam-KLA deal getting approval, however. In his report, Arcuri called the second request “more procedural in nature.” “There is no overlap here, and certain big customers have been pushing these companies together for several years, especially around the issue of yield ramp in 3D,” he wrote. “We continue to see the deal closing in (the) August time frame.” Arcuri cut his price target on Lam stock to 85 from 93. Lam and rival ASML recently indicated minor timing delays for DRAM (dynamic random-access memory)-related shipments, he wrote. Lam has guided shipments up for the second half of the year, but Arcuri isn’t that confident. “Weakness for Lam seems focused on the memory side, with some signs of a less aggressive 3D Nand (flash) shipment cadence to Toshiba, seemingly due more to capital constraints at this customer rather than any fundamental change in the 3D Nand ramp itself,” he wrote. But Samsung, SK Hynix, Micron ( MU ) and Intel Dalian haven’t experienced any mirrored slowdown, he wrote.

Applied Materials Loots Lam Share, But KLA Customer Heist Foiled

Applied Materials ( AMAT ) filled a Lam Research ( LRCX )-size hole in Q1 market share, but likely didn’t snag a chunk of KLA-Tencor ‘s ( KLAC ) customer base, Semiconductor Advisors analyst Robert Maire said Friday. The “zero sum game” pits Applied Materials against a Lam-KLA combination. Analysts theorize that once Lam and KLA merge — expected later this year — the duo could surpass Applied Materials as the No. 2 chip gear maker. Combined, Lam-KLA would have a $21.5 billion market value to Applied Materials’ $21.4 billion, as of Friday. ASML Holdings ( ASML ) leads with a $37.8 billion. Shares of all four rose Friday on Applied Materials’ Q1 beat and Q2 guidance surprise late Thursday. Applied Materials stock gapped up as much as 10% In early trading on the stock market today , and was up 9%, near 19, in afternoon trading Friday. Shares of Lam and KLA were up 3.5% and 2%, respectively. ASML stock was up more than 2.5%. Collectively, IBD’s 36-company Electronic-Semiconductor Equipment was up nearly 3% Friday afternoon. Applied Materials Results ‘Well Short Of Others’ Maire sees the stock rebound as temporary. Applied Materials’ Q1 was “well short of others in the industry.” Its $2.28 billion in orders and $2.26 billion in sales for the quarter ended Jan. 31 were down 6% and 5%, respectively, from the prior quarter, the analyst notes. Silicon system orders of $1.27 billion and display orders of $183 million fell 12% and 6%, respectively, from the prior quarter. But service orders of $773 million rose 1.5% sequentially and set an all-time quarterly record. Current-quarter guidance for $2.37 billion to $2.49 billion in sales,  and 30-34 cents earnings per share ex items, easily topped analyst consensus . Maire expects chipmaking tool orders to rise 25% this quarter, but suggests that 30%-40% sequential growth would be stronger. Lam’s March-quarter guidance was weak, however. “Applied Materials looks to have orders up substantially in the current quarter,” he wrote. The guidance “begs the question of whether there was some shift from Lam to Applied Materials in the current quarter.” Pacific Crest Securities analyst Weston Twigg says the math supports a market share gain by Applied Materials. Wafer fab equipment (WFE) spending fell 4% in 2015 vs. Applied Materials’ 2% year-over-year growth in silicon systems. He models 3% silicon sales growth over 2016 vs. a 2% year-over-year increase in industry-wide WFE sales. Applied Materials, however, says equipment demand will be flat in 2016 vs. 2015. Twigg maintained his overweight rating and 20 price target on Applied Materials stock. OLED Displays Tick Up Calendar 2016 will split between Nand (flash memory) and DRAM (dynamic random-access memory), Applied Materials said. Nand will dominate the first half of 2016, while DRAM, logic and foundry sales will pack the tail end. Service sales continue to “rock and roll” and will likely offset a trough in the tool business cycle, Maire wrote. But Credit Suisse analyst Farhan Ahmad sees Applied Materials’ bounding on its OLED (organic light-emitting diode) investments. OLED displays don’t have a backlight and thus are thinner than traditional LCDs (liquid crystal displays). Ahmad bumped his price target on Applied Materials stock to 23 from 22.50 and reiterated an outperform rating. OLED displays are being adopted into TVs, computer monitors, smartphones and hand-held game systems. Ahmad sees Applied Materials’ investment in OLED technology as driving 10% year-over-year growth in 2016 display sales. Applied Materials CEO Gary Dickerson told analysts during the earnings conference call that the company is “growing beyond the semiconductor.” “It is clear that the industry is becoming highly dependent on materials innovation, especially as they introduce new technologies like OLED,” he said. “This plays to our strengths and significantly expands the market.” OLED expands Applied Materials’ total addressable market by a factor of three vs. LCD, Dickerson said. Combined, OLED and LCD technologies put the display business on track to reach $1 billion in fiscal 2018 revenue, Needham analyst Y. Edwin Mok wrote in a report. Mok upgraded Applied Materials stock to buy from hold and reiterated a 22 price target.

Applied Materials Seen Topping $2 Bil In Q1 Sales, Again

Chip gear-maker Applied Materials ( AMAT ) is expected late Thursday to report its ninth consecutive quarter of $2 billion-topping sales, and shares rose Wednesday on the pending Q1 report. Intraday on the stock market today , Applied Materials stock was up 2.5%. Shares are down 10% for the year, reflecting an equal drop in IBD’s 36-company Electrical-Semiconductor Equipment industry group. Applied Materials stock closed 2015 down 25%. For Q1, Applied Materials is expected to report $2.24 billion in sales and 25 cents earnings per share ex items, down 5% and 7%, respectively, vs. the year-earlier quarter. The consensus model of 22 analysts polled by Thomson Reuters was in line with Applied Materials’ three-months-earlier guide for a 2%-9% sequential decrease in sales ($2.16 billion to $2.32 billion) and 23-27 cents EPS minus items. Credit Suisse analyst Farhan Ahmad doesn’t expect any surprises when Applied Materials reports late Thursday. In November, CFO Robert Halliday guided to a 7%-13% decrease in silicon systems, flat installation/warranty support and a 5%-15% jump in display sales. “While display could disappoint near term, we expect positive commentary around the OLED (organic light-emitting diode) ramp at Samsung/LG Display,” Ahmad wrote in a research report. He views Applied stock “as the best self-help story” in semiconductors. OLED displays don’t have a backlight and are therefore thinner than traditional LCDs (liquid crystal displays). The material is used in TVs, computer monitors, smartphones and handheld game systems. Applied Materials cushioned itself for macro uncertainty with a $3 billion buyback program, adding an estimated 12 cents EPS minus items accretion in 2016, Ahmad wrote. He forecasts gross margin improvement in the July quarter vs. 42.2% in the past October quarter. Ahmad retained his outperform rating and 22.50 price target on Applied Materials stock. Applied Materials has a middling IBD Composite Rating of 72 out of a highest-possible 99, and trails Cascade Microtech ( CSCD ), KLA Tencor ( KLAC ) and Advanced Energy ( AEIS ) with CRs of 99, 97 and 94, respectively. Lam Research ( LRCX ) is acquiring KLA Tencor, forming a combination that some analysts say could punt Applied Materials from its top chip gear-making spot.